Research

Sponsors

  • National Science Foundation (NSF)
  • National Institutes of Health (NIH)
  • Department of Energy (DOE) / LLNL
  • Naval Research Laboratory (NRL)
  • Oak Ridge Associated Universities (ORAU)
  • NASA / Louisiana Space Consortium
  • Louisiana Board of Regents
  • Chevron Innovative Research Support (CIRS) Fund
  • Louisiana State University
  • Xilinx, Inc.

Publications

Refereed Conference Papers:

  • P. Srinuan, P. Sigdel, L. Peng, X. Yuan, P. Darby, C. Aucoin, and N.-F. Tzeng, “GPU-Assisted Memory Expansion,” In Proceedings of The 15th International Conference on Networking, Architecture, and Storage (NAS 2021), Oct. 2021. (pdf)
  • Y.-H. Zhang, X. Yuan, S. Kimball, E. Rappin, L. Chen, P. Darby, T. Johnsten, L. Peng, B. Pitre, D. Bourrie, and N.-F. Tzeng, “Precise Weather Parameter Predictions for Target Regions via Neural Networks,” In Proceedings of The European Conference on Machine Learning and Principles and Practice of Knowledge Discovery in Databases (ECML/PKDD),  Sep. 2021. (pdf).
  • S. Irving, L. Peng, C. Busch, and J.-K. Peir, “BifurKTM: Approximately Consistent Distributed Transactional Memory for GPUs,” In Proceedings of PARMA-DITAM in conjunction with European Network on High-performance Embedded Architecture and Compilation (HiPEAC), Jan. 2021. (pdf).
  • H. Guo, L. Peng, J. Zhang, Q. Chen, and T. LeCompte, “ATT: A Fault-Tolerant ReRAM Accelerator for Attention-based Neural Networks,” In Proceedings of the 38th IEEE International Conference on Computer Design (ICCD), Hartford, CT, Oct., 2020. (pdf).
  • T. LeCompte, F. Qi, and L. Peng, “Robust Cache-Aware Quantum Processor Layout,” In Proceedings of the 39th IEEE International Symposium on Reliable Distributed Systems (SRDS), Shanghai, China, Sep. 2020.  (Acceptance rate: 33/131= 25%). (pdf).
  • T. Lu and L. Peng, “BPU: A Blockchain Processing Unit for Accelerated Smart Contract Execution,” In Proceedings of the 57th ACM/IEEE Annual Design Automation Conference (DAC), San Francisco, CA, Jul. 2020. (Acceptance rate: 228/984= 23%). (pdf).
  • H. Guo, L. Peng, J. Zhang, F. Qi, and L. Duan, “Hardware Accelerator for Adversarial Attacks on Deep Learning Neural Networks,” In Proceedings of the Tenth IEEE International Green and Sustainable Computing Conference (IGSC), Alexandria, VA, Oct. 2019. (Best Paper Award) (pdf).
  • L Peng, W. Shi, J. Zhang, and S. Irving, “Exploiting model-level parallelism in recurrent neural network accelerators,”  In Proceedings of the IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Singapore, Oct. 2019. (pdf)
  • X.-Y. Li, L. Liu, S.-J. Yang, L. Peng, and J.-F. Qiu, “Thinking about A New Mechanism for Huge Page Management,” In Proceedings of the 10th ACM SIGOPS Asia-Pacific Workshop on Systems (Apsys), Hangzhou, China, Aug. 2019. (pdf).
  • S. Chen, F. Zhang, L. Liu, and L. Peng, “Efficient GPU NVRAM Persistence with Helper Warps,” In Proceedings of the 56th ACM/IEEE Annual Design Automation Conference (DAC), Las Vegas, NV, Jun. 2019. (Acceptance rate: 202/819= 24.7%). (pdf).
  • S. Irving, S. Chen, L. Peng, C. Busch, M. Herlihy, and Chris J Michael, “CUDA-DTM: Distributed Transactional Memory for GPU Clusters,” In Proceedings of the 7th International Conference on Networked Systems, Marrakech, Morocco, Jun. 2019. (pdf).
  • H. Guo, L. Peng, J. Zhang, F. Qi, and L. Duan, “Fooling AI with AI: An Accelerator for Adversarial Attacks on Deep Learning Visual Classification,”  In Proceedings of The 30th IEEE International Conference on. Application-specific Systems, Architectures and Processors (ASAP) (extended abstract), Cornell Tech, NY, July 2019. (pdf).
  • Z. Yan, Y. Lin, L. Peng, W. Zhang, “Harmonia: A High Throughput B+tree for GPUs,” In Proceedings of the 24th ACM SIGPLAN Annual Symposium on Principles and Practice of Parallel Programming (PPoPP), Washington DC, Feb. 2019. (pdf) (Acceptance rate: 29/152 = 19%).
  • Z. Zhao, A. Srivastava, and L. Peng, “SPICE-Compatible Modeling of Silicene Field Effect Transistor and Analog Circuit Design,” In The IEEE 13th Nanotechnology Materials & Devices Conference (NMDC 2018), Portland, OR, Oct. 2018.
  • S. Chen, L. Peng, and S. Irving, “Accelerating GPU Hardware Transactional Memory with Snapshot Isolation,” In Proceedings of The ACM/IEEE 44th International Symposium on Computer Architecture (ISCA), Toronto, ON, Canada, Jun. 2017. (pdf). (Acceptance rate: 54/322 = 16.8%)
  • X. Xiang, W. Shi, S. Ghose, L. Peng, O. Mutlu, and N.-F. Tzeng, “Carpool: A Bufferless NoC with Adaptive Multicast and Hotspot Alleviation,” In Proceedings of the 31st ACM International Conference on Supercomputing (ICS), Chicago, IL, Jun. 2017.  (pdf(Acceptance rate: 28/177 = 15.8%).

  • Z. Zhao, X. Chen, A. Srivastava, L. Peng, and S. P. Mohanty, “Compact Modeling of Graphene Barristor for Digital Integrated Circuit Design,” In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Bochum, Germany, Jul. 2017.  (pdf).
  • M. Fahad, Z. Zhao, A. Srivastava, and L. Peng, “Modeling of Graphene Nanoribbon Tunnel Field Effect Transistor in Verilog-A for Digital Circuit Design,” In Proceedings of The IEEE International Symposium on Nanoelectronic and Information Systems, Gwalior, India, Dec. 2016. (pdf).
  • Z. Zhao, A. Srivastava, L. Peng, and S. Mohanty, “A Low-Cost Mixed Clock Generator for High Speed Adiabatic Logic,” In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Pittsburgh, PA, Jul. 2016. (pdf).
  • S. Chen and L. Peng, “Efficient GPU Hardware Transactional Memory through Early Conflict Resolution,” In Proceedings of the 22nd IEEE International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, Mar. 2016. (pdf). (Acceptance rate: 53/240 = 22%)
  • Z. Zhao, A. Srivastava, L. Peng, S.-M. Chen, and S. Mohanty, “Circuit Implementation of Switchable Pins in Chip Multiprocessor,” In Proceedings of The IEEE International Symposium on Nanoelectronic and Information Systems, Inodre, India, Dec. 2015. (pdf)
  • B. Li, S.-M. Chen, and L. Peng, “Precise Computer Performance Comparisons Via Statistical Resampling Methods,” In Proceedings of The 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Philadelphia, PA. Mar. 2015. (pdf)
  • Y. Zhang, L. Zhao, R. Illikkal, R. Iyer, A. Herdrich,  and L. Peng, “QoS Management on Heterogeneous Architecture for Parallel Applications,” In Proceedings of The 32nd IEEE International Conference on Computer Design (ICCD), Seoul, Korea, Oct. 2014. (pdf)
  • Y. Zhang, S. Chen, L. Peng, and S.-M. Chen, “Mitigating NBTI Degradation on FinFET GPUs through Exploiting Device Heterogeneity,” In Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Tampa, FL, Jul. 2014. (pdf)
  • S.-M. Chen, Y. Hu, Y. Zhang, L. Peng, J. Ardonne, S. Irving, and A. Srivastava, “Increasing Off-Chip Bandwidth in Multi-Core Processors with Switchable Pins,” In Proceedings of The ACM/IEEE 41st International Symposium on Computer Architecture (ISCA),  Minneapolis, MN, Jun. 2014. (Acceptance rate: 46/258 = 18%) (pdf).
  • S. Chen, G. Bronevetsky , B. Li, M. Casas, and L. Peng, “Evaluating Application Resilience with XRay,” In the 10th Workshop on Silicon Errors in Logic – System Effects (SELSE), Stanford University, CA, Apr. 2014. (pdf)
  • Y. Zhang, L. Duan, B. Li, L. Peng, and S. Sadagopan, “Energy efficient job scheduling in single-ISA heterogeneous chip-multiprocessors,” In Proceedings of The 15th IEEE International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, Mar. 2014. (pdf).
  • S.-M. Chen, Y. Hu, and L. Peng, “Optimization of Electricity and Server Maintenance Costs in Hybrid Cooling Data Centers,” In Proceedings of  The 6th IEEE  International Conference on Cloud Computing (CLOUD), Santa Clara, CA, Jun. 2013. (pdf).
  • Y. Zhang, L. Peng, X. Fu, and Y. Hu, “Lighting the Dark Silicon by Exploiting Heterogeneity on Future Processors,” In Proceedings of the 50th ACM/IEEE Annual Design Automation Conference (DAC), Austin, TX, Jun. 2013.  (Acceptance rate: 162/747 = 22%) (pdf).
  • Y. Hu, S.-M. Chen, L. Peng, E. Song, and J.-W. Choi, “Effective Thermal Control Techniques for Liquid-Cooled 3D Multi-Core Processors,” In Proceedings of The 14th IEEE International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, Mar. 2013. (pdf)
  • S. Chen, L. Peng, G. Bronevetsky, and M. Casas‐Guix, “Library‐based Algorithmic Fault Tolerance for Scientific Applications,” In poster session of the 42nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). (pdf)
  • Y. Zhang, L. Duan, B. Li and L. Peng, “Optimal Microarchitectural Design Configuration Selection for Processor Hard-Error Reliability,” In Proceedings of The 13th IEEE International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, Mar. 2012. (pdf)
  • Y. Zhang, L. Peng, B. Li, J.-K. Peir and J. Chen, “Architecture Comparisons between NVidia and ATI GPUs: Computation Parallelism and Data Communications,” In Proceedings of The 2011 IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, Nov. 2011. (pdf)
  • L. Duan, L. Peng and B. Li, “Two-Level Soft Error Vulnerability Prediction on SMT/CMP Architectures,” (extended abstract), In Proceedings of The 2011 IEEE International Symposium on Workload Characterization (IISWC), Austin, TX, Nov. 2011. (pdf)
  • J. Chen, B. Li, Y. Zhang, L. Peng and J.-K. Peir, “Tree Structured Analysis on GPU Power Study,” In Proceedings of The 29th IEEE International Conference on Computer Design (ICCD), Amherst, MA, Oct. 2011. (pdf)
  • Y. Zhang, Y. Hu, B. Li and L. Peng, “Performance and Power Analysis of ATI GPU: A Statistical Approach”, In Proceedings of The 6th IEEE International Conference on Networking, Architecture, and Storage (NAS), Dalian, China, Jul. 2011. (pdf)
  • J. Chen, B. Li, Y. Zhang, L. Peng and J.-K. Peir, “Statistical GPU Power Analysis Using Tree-based Methods”, In Proceedings of the Green Computing Conference and Workshops (IGCC), Orlando, FL, Jul. 2011. (pdf)
  • Y. Hu, D. Koppelman, and L. Peng, “Penalty-Sensitive L-TAGE Predictor,” in Proceedings of the 2nd JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction in conjunction with ISCA-38, San Jose, CA, Jun. 2011. (pdf)
  • L. Duan, Y. Zhang, B. Li and L. Peng, “Universal Rules Guided Design Parameter Selection for Soft Error Resilient Processors,” In Proceedings of The 2011 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, TX, Apr. 2011. (pdf)
  • J. Chen, Z. Huang, F. Su, J.-K. Peir, J. Ho and L. Peng, “Weak Execution Ordering – Exploiting Iterative Methods on Many-Core GPUs,” In Proceedings of The 2010 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), White Plains, NY,  Mar. 2010. (pdf)
  • L. Duan, B. Li and L. Peng, “Reliability-Constrained Processor Performance Optimization via Design Parameter Selection,” In Poster session of The 18thIEEE/ACM International Conference on Parallel Architectures and Compilation Techniques (PACT), Raleigh, NC, Sep. 2009. (pdf)
  • S. Verma, D. Koppelman and L. Peng, “A Hybrid Adaptive Feedback Based Prefetcher,” In Proceedings of the 1st JILP Data Prefetching Championship (DPC-1) in conjunction with HPCA-15, Raleigh, NC, Feb. 2009. (pdf)
  • G. Liu, Z. Huang, J-K. Peir, X. Shi and L. Peng, “Enhancement for Accurate Stream Prefetching,” in Proceedings of the 1st JILP Data Prefetching Championship (DPC-1) in conjunction with HPCA-15, Raleigh, NC, Feb. 2009. (pdf)
  • L. Duan, B. Li and L. Peng, “Versatile Prediction and Fast Estimation of Architectural Vulnerability Factor from Processor Performance Metrics,” In Proceedings of the 15th IEEE International Symposium on High-Performance Computer Architecture (HPCA-15), Raleigh, NC, Feb. 2009. (Acceptance rate: 35 / 184 = 19%) (pdf)
  • R. Tao, L. Yang, L. Peng, B. Li and A. Cemerlic, “A Case Study: Using Architectural Features to Improve Sophisticated Denial-of-Service Attack Detections,” In Proceedings of the 2009 IEEE Symposium on Computational Intelligence in Cyber Security, Nashville, TN, Mar. 2009. (pdf)
  • L. Peng, W. Lu and L. Duan, “Power Efficient IP Lookup with Supernode Caching,” In Proceedings of the 50th IEEE Global Communications Conference (Globecom),  Washington, DC, Nov. 2007. (pdf)
  • L. Peng, J-K. Peir, T. K. Prakash, Y-K. Chen, D. Koppelman, “Memory Performance and Scalability of Intel’s and AMD’s Dual-Core Processors: A Case Study,” In Proceedings of the 26th IEEE International Performance Computing and Communications Conference (IPCCC), New Orleans, LA, Apr. 2007. (pdf)
  • L. Yang, L. Peng, “SecCMP: A Secure Chip-Multiprocessor Architecture,” In Proceedings of the First Workshop on Architectural and System Support for Improving Software Dependability (ASID) in conjunction with ACM ASPLOS XII, San Jose, CA, Oct. 2006. (pdf)
  • X. Shi, Z. Yang, J-K. Peir, L. Peng, Y-K. Chen, V. Lee, and B. Liang, “Coterminous Locality and Coterminous Group Data Prefetching on Chip-Multiprocessors”, In Proceedings of the 20th IEEE International Parallel & Distributed Processing Symposium (IPDPS), Rhodes Island, Greece. Apr. 2006. (acceptance rate: 125/531 = 24%) (pdf)
  • L. Peng, J-K. Peir and K. Lai, “Signature Buffer: Bridging Performance Gap between Registers and Caches”, In Proceedings of the 10th IEEE International Symposium on High Performance Computer Architecture (HPCA-10), Madrid, Spain, Feb. 2004. (acceptance rate: 27/153 = 18%) (pdf)
  • L. Peng, J. Song, S. Ge, Y-K. Chen, V. Lee, J-K. Peir, and B. Liang, “Case Studies: Memory Behavior of Multithreaded Multimedia and AI Applications”, In Proceedings of Seventh Workshop on Computer Architecture Evaluation using Commercial Workloads (CAECW-7) in conjunction with IEEE HPCA-10, Madrid, Spain, Feb. 2004. (pdf)
  • Q. Ma, J-K. Peir, L. Peng and K. Lai, “Symbolic Cache: Fast Memory Access Based on Program Syntax Correlation of Loads and Stores”, In Proceedings of IEEE International Conference on Computer Design’01 (ICCD’01), Austin, TX, Sept. 2001. (Best Paper Award, Processor Architecture Track)  (pdf)

Refereed Journal Publications:

  • T. LeCompte, L. Peng, X. Yuan, and N.-F. Tzeng, “Protecting Synchronization Mechanisms of Parallel Big Data Kernels via Logging,” accepted by IEEE Transactions on Computers, 2021. (pdf)
  • C. H. Johnson, T. Lu, P. Rivera, D. Mcdonald, S. Pritchett, and L Peng, “iChain: Peer-to-Peer Machine Learning Powered by Blockchain Technology,” Frontiers in Blockchain, Vol. 4, Jul. 2021. (pdf)
  • C. H. Johnson, J. Trahan, T. Lu, and L. Peng, “Evaluation of Algorithms for Randomizing Key Item Locations in Game Worlds,” IEEE Access, Vol. 9, Apr. 2021. (pdf).
  • S. Chen, L. Liu, W. Zhang, and L. Peng, “Architectural Support for NVRAM Persistence in GPUs,” IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 31 (5), May 2020. (pdf).
  • W. Zhang, Z. Yan, Y. Lin, C. Zhao, and L. Peng, “A High Throughput B+tree for SIMD architectures,” IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 31 (3), Mar. 2020. (pdf).
  • S. Irving, B. Li, S.-M. Chen, L. Peng, W. Zhang, and L. Duan, “Computer Comparisons in the Presence of Performance Variation”, Frontiers of Computer Science (Springer), 2020, 14(1): 21 -41. (pdf).
  • L. Liu, S.-J. Yang, L. Peng, and X.-Y. Li, “Hierarchical Hybrid Memory Management in OS for Tiered Memory Systems,” IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 30, No. 10, pp. 2223 – 2236, Oct. 2019. (pdf).
  • B. Li, Y. Zhao, and L. Peng, “Ensemble of fast learning stochastic gradient boosting,” Communications in Statistics – Simulation and Computation, Jul. 2019. (pdf)
  • Z. Zhou, A. Srivastava, L. Peng, Q. Chen, “Long Short-Term Memory Network Design for Analog Computing,” ACM Journal of Emerging Technologies in Computing Systems (JETC), Jan. 2019. (pdf)
  • J.-Y. Park, L. Peng, and J.-W. Choi, “Critical heat flux limiting the effective cooling performance of two-phase cooling in an interlayer microchannel,” accepted by Microsystem Technologies (Springer), 2019. (pdf)
  • S.-M. Chen, L. Peng, S. Irving, Z. Zhao, W. Zhang, and A. Srivastava, “qSwitch: Dynamical Off-Chip Bandwidth Allocation between Local and Remote Accesses”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 37, pp. 75-87, Jan. 2018. (pdf)
  • Z. Zhou, A. Srivastava, L. Peng, and S. Mohanty, “Calibration Methods to Reduce the Error in Logarithmic Conversion with Its Circuit Implementation,” IET Circuits, Devices & Systems, Vol. 12, pp. 301-308, Jul. 2018.  (pdf).
  • Z. Zhou, A. Srivastava, L. Peng, and S. Mohanty, “A Multiple Input Floating Gate based ALU with a Feedback Loop for Digital Calibration,” Journal of Low Power Electronics, Vol. 14, No. 4, Dec. 2018. (pdf)
  • T. LeCompte, W. Legrand, S. Chen, and L. Peng, “Soft Error Resilience of Big Data Kernels through Algorithmic Approaches,”  Springer Journal of Supercomputing, Vol. 73, pp. 4739–4772, Nov. 2017. (pdf).
  • Z. Zhao, A. Srivastava, L. Peng, S.-M. Chen, and S. Mohanty, “A Novel Switchable Pin Method for Regulating Power in Chip-Multiprocessor”, in Integration, The VLSI Journal (Elsevier), Volume 58,  Pages 329-338, June 2017. (pdf).
  • C. Xie, J. Tan, M. Chen, Y. Yang, L. Peng, and X. Fu, “Emerging Technology Enabled Energy-Efficient GPGPUs Register File,” in Elsevier Journal of Microprocessors and Microsystems, Vol. 50, pp. 175–188, May 2017. (pdf).
  • K. Yan, L. Peng, M. Chen, and X. Fu, “Exploring Energy-Efficient Cache Design in Emerging Mobile Platforms,” ACM Transactions on Design Automation of Electronic Systems, Vol. 22, No. 4, pp. 1-20, Jul. 2017. (pdf)
  • Y. Zhang, L. Zhao, R. Illikkal, R. Iyer, A. Herdrich, and L. Peng, “QoS Management on Heterogeneous Architecture for Multi-progammed, Parallel and Domain-Specific Applications,” IEEE Systems Journal, Vol. 11, No. 4, pp. 2096-2107, Dec. 2017. (pdf)
  • S.-M. Chen, S. Irving, L. Peng, Y. Hu, Y. Zhang, A. Srivastava, “Using Switchable Pins to Increase Off-Chip Bandwidth in Chip-Multiprocessors,” in IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 28, Issue 1, Jan. 2017. (pdf)
  • Y. Lu, X. Wang, W. Zhang, H. Chen, L. Peng, and W. Zhao, “Performance Analysis of Multimedia Retrieval Workloads Running on Multicores,” in IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 27, No. 11, Nov. 2016. (pdf)
  • S. Chen, G. Bronevetsky, L. Peng, B. Li, and X. Fu, “Soft Error Resilience in Big Data Kernels through Modular Analysis,” in Springer Journal of Supercomputing, Vol. 72, Issue 4, Apr. 2016. (pdf)
  • Y. Lu, Y. Li, B. Song, W. Zhang, H. Chen, and L. Peng, “Parallelizing Image Feature Extraction Algorithms on Multi-core Platforms,” in Elsevier Journal of Parallel and Distributed Computing, Volume 92, May 2016. (pdf)
  • S.-M. Chen, S. Irving, and L. Peng, “Operational Cost Optimization for Cloud Computing Data Centers with Renewable Energy,” in IEEE Systems Journal, Vol. 10, Issue 4, Dec. 2016. (pdf)
  • Y. Zhang, S. Irving, L. Peng, X. Fu, D. Koppelman, W. Zhang, and J. Ardonne, “Design Space Exploration for Device and Architectural Heterogeneity in Chip-Multiprocessors,” In Journal of Microprocessors and Microsystems (Elsevier), Vol. 40, Pages 88-101, Feb. 2016. (pdf).
  • S.-M. Chen, L. Peng, Y. Hu, Z. Zhao, A. Srivastava, Y. Zhang, J. Choi, B. Li, and E. Song, “Powering Up Dark Silicon: Mitigating the Limitation of Power Delivery via Dynamic Pin Switching,” In IEEE Transactions on Emerging Topics in Computing, Dec. 2015. (pdf).
  • S. Chen, G. Bronevetsky, B. Li, M. Casas, and L. Peng, “A Framework For Evaluating Comprehensive Fault Tolerance Mechanisms In Numerical Programs,” In Journal of Supercomputing (Springer). Aug. 2015. (pdf).
  • Y. Zhang, L. Duan, B. Li, L. Peng, S. Sadagopan, “Cross-architecture Prediction Based Scheduling for Energy Efficient Execution on Single-ISA Heterogeneous Chip-multiprocessors,” In Journal of Microprocessors and Microsystems (Elsevier), Volume 39, Issues 4–5, June–July 2015, Pages 271–285. (pdf)
  • Y. Zhang, S. Chen, L. Peng, S.-M. Chen, “NBTI Alleviation on FinFET-made GPUs by Utilizing Device Heterogeneity,” In Integration, The VLSI Journal (Elsevier) Volume 51, Pages 10–20, Sep. 2015. (pdf)
  • L. Duan, Y. Zhang, B. Li, and L. Peng, “Comprehensive and Efficient Design Parameter Selection for Soft Error Resilient Processors via Universal Rules,” In IEEE Transactions on Computers, Volume 63 , Issue 9, pages 2201 – 2214, Sep. 2014. (pdf).
  • Y. Zhang, L. Duan, B. Li, L. Peng, and X. Fu, “Design Configuration Selection for Hard-error Reliable Processors via Statistical Rules”, In Journal of Microprocessors and Microsystems, Volume 38, Issue 1, Feb. 2014, pages 22–30. (pdf).
  • L. Duan, L. Peng, and B. Li, “Predicting Architectural Vulnerability on Multi-Threaded Processors under Resource Contention and Sharing,” In IEEE Transactions on Dependable and Secure Computing, Vol. 10(2), pages 114-127, Mar.-Apr. 2013. (pdf).
  • Q. Yu, B. Li, Z. Fang, and L. Peng, “Model Guided Adaptive Design and Analysis in Computer Experiment”, In Statistical Analysis and Data Mining, Volume 5, Issue 5, pages 399–409, October 2012. (pdf).
  • G. Liu, Z. Huang, J.-K. Peir, X. Shi and L. Peng, “Enhancement for Accurate and Timely Stream Prefetching,” In Journal of Instruction-Level Parallelism, vol. 13, Jan. 2011. (pdf)
  • S. Verma, D. Koppelman, and L. Peng, “Efficient Prefetching with Hybrid Schemes and Use of Program Feedback to Adjust Prefetcher Aggressiveness,” In Journal of Instruction-Level Parallelism, vol. 13, Jan. 2011. (pdf)
  • B. Li, L. Duan and L. Peng, “Efficient Microarchitectural Vulnerabilities Prediction Using Boosted Regression Trees and Patient Rule Inductions,” In IEEE Transactions on Computers, Vol 59(5), pp. 593-607, May 2010. (pdf)
  • R. Tao, L. Yang, L. Peng and B. Li, “A Host-Based Intrusion Detection System Using Architectural Features to Improve Sophisticated Denial-of-Service Attack Detections,” In International Journal of Information Security and Privacy, Vol. 4(1), 18-31, Jan.-Mar. 2010. (pdf)
  • Q. Yu, B. Li, Z. Fang and L. Peng, “An adaptive sampling scheme guided by BART – with an application to predict processor performance,” In Canadian Journal of Statistics, Vol 38(1), pp. 136-152, Mar. 2010. (pdf)
  • Y. Zhang, L. Peng, W. Lu, L. Duan and S. Rai, “Expediating IP Lookups with Reduced Power via TBM and SST Supernode Caching,” In Computer Communications, vol 33(3), pp. 390-397, Feb. 2010. (pdf)
  • B. Li, L. Peng and B. Ramadass. “Accurate and Efficient Processor Performance Prediction via Regression Tree Based Modeling,” In Journal of Systems Architecture. Vol. 55 (10-12), pp. 457-467, Oct.-Dec., 2009 (pdf)
  • L. Yang, L. Peng and B. Ramadass, “SecCMP: Enhancing Critical Secret Protection in Chip-Multiprocessors,” In International Journal of Information Security and Privacy, vol.2(4), pp. 54-66, Oct.-Dec. 2008. (pdf)
  • L. Peng, J-K. Peir, T. K. Prakash, C. Staelin, Y-K. Chen, D. Koppelman, “Memory Hierarchy Performance Measurement of Commercial Dual-Core Desktop Processors,” In Journal of Systems Architecture, vol 54(8), pp. 816-828, Aug. 2008. (pdf)
  • B. Li, L. Peng and B. Ramadass, “Efficient MART-Aided Modeling for Microarchi-tecture Design Space Exploration and Performance Prediction,” In ACM SIGMETRICS Performance Evaluation Review, Special issue on ACM SIGMETRICS ’08, Volume 36 Issue 1, June 2008, pp. 439-440.  (pdf)
  • T. K. Prakash and L. Peng, “Performance Characterization of SPEC CPU2006 Benchmarks on Intel Core 2 Duo Processor,” In ISAST Transactions on Computers and Software Engineering, No. 1, Vol 2, pp. 36-41, 2008. (pdf)
  • L. Peng, J-K. Peir and K. Lai, “A New Memory Hierarchy Layer for Zero-cycle Load”. In Journal of Instruction-Level Parallelism, vol.6, Sept. 2004. (acceptance rate: 15%) (pdf)
  • L. Peng, J-K. Peir, Q. Ma and K. Lai, “Address-Free Memory Access Based on Program Syntax Correlation of Loads and Stores”, In IEEE Transactions on VLSI Systems, June, 2003. (Invited Paper) (pdf)

Book Chapters:

  • Y. Zhang, S. Chen, L. Peng, and S.-M. Chen, “FinFET and Reliability Considerations of Next-generation Processors,” In “Nano-CMOS and Post-CMOS Electronics: Devices and Modelling,” Institution of Engineering and Technology (IET), 2015.
  • L. Peng, L. Yang and B. Ramadass, “Architectural Support for Enhancing Critical Secrets Protection in Chip-Multiprocessors,” In “Pervasive Information Security and Privacy Developments: Trends and Advancements,” IGI Global Press, Jun. 2010.

Comments are closed.